PersCom — Компьютерная Энциклопедия Компьютерная Энциклопедия

PCI и PCI-X

Конфигурирование и BIOS устройств PCI и PCI-X

Программная генерация конфигурационных и специальных циклов

Поскольку конфигурационное пространство PCI обособлено, в главный мост приходится вводить специальный механизм доступа к нему командами процессора, инструкции которого «умеют» обращаться только к памяти или вводу-выводу. Этот же механизм используется и для генерации специальных циклов. Для PC-совместимых компьютеров предусмотрено два механизма, из которых в спецификации PCI 2.2 оставлен только первый (Configuration Mechanism #1) как более прозрачный. Номер механизма, которым пользуется конкретная системная плата, можно узнать путем вызова PCI BIOS. Для доступа к расширенному конфигурационному пространству устройств PCI-X эти механизмы непригодны (доступ к нему возможен только через прямое отображение на память).

Конфигурационные циклы адресуются к конкретному устройству (микросхеме PCI), расположенному на шине с известным номером. Декодированием номера шины и устройства, для которого должен быть сформирован сигнал выборки IDSEL (единичное значение), занимаются мосты. Номер функции и адрес регистра декодируется самим устройством.

Для работы механизма № 1 в пространстве ввода-вывода зарезервированы 32-битные порты с адресами 0CF8h и 0CFCh, входящие в главный мост. Для обращения к конфигурационному пространству в порт CONFIG_ADDRESS (RW, адрес CF8h) заносят 32-разрядный адрес, декодируемый в соответствии с рисунком ниже. После занесения адреса обращением к порту CONFIG_DATA (RW, адрес CFCh) можно прочитать или записать содержимое требуемого конфигурационного регистра. В регистре CONFIG_ADDRESS бит 31 является разрешением формирования конфигурационных и специальных циклов. В зависимости от номера шины, указанного в этом регистре, главный мост генерирует конфигурационные циклы одного из двух типов:

  • для обращения к устройству, находящемуся на нулевой шине (подключенной к главному мосту), используется цикл типа 0. В этом цикле в фазе адреса на линии AD[31:11] мост помещает позиционный код выбора устройства, на AD[10:8] — номер функции, на AD[7:2] — адрес регистра, а биты 1:0 = 00 являются признаком цикла типа 0. В PCI-X в фазе адреса на линии AD[15:11] помещается номер устройства; расширенное конфигурационное пространство через данный механизм не доступно;
  • для обращения к устройству, находящемуся на ненулевой шине, используется цикл типа 1. Здесь главный мост передает всю адресную информацию из CONGIG_ADDRESS (номер шины, устройства, функции и регистра) на нулевую шину PCI, обнуляя старшие биты (31:24) и устанавливая в битах 1:0 признак типа «01».

Специальный цикл генерируется при записи в CONFIG_DATA, когда в регистре CONGIG_ADDRESS все биты [15:8], единичные, а биты [7:0] — нулевые; номер шины, на которой формируется цикл, задается битами [23:16]. В специальном цикле ад ресная информация не передается (он широковещательный), но путем задания номера шины можно управлять его распространением. Если хост генерирует специальный цикл, указав нулевой адрес шины, то этот цикл будет выполнен только на главной шине и всеми остальными мостами распространяться не будет. Если указан ненулевой адрес шины, то главный мост сформирует цикл конфигурационной записи типа 1, который в специальный цикл будет преобразован только мостом на шине назначения. Специальный цикл, генерируемый ведущим устройством шины, действует только на шине этого устройства и не распространяется через мосты. Если требуется сгенерировать этот цикл на другой шине, то ведущее устройство может это сделать посредством записей в регистры CONGIG_ADDRESS и CONFIG_DATA.

Для работы устаревшего и неудобного механизма № 2 в пространстве ввода-вывода зарезервированы два 8-битных порта с адресами 0CF8h и 0CFAh, входящие в главный мост. Этот механизм использует отображение конфигурационного пространства устройств PCI на область C000–CFFF пространства ввода-вывода. Поскольку этой области (4096 портов) недостаточно для отображения конфигурационного пространства всех устройств всех шин PCI, формирование адреса выполняется весьма замысловатым образом. В регистре CSE (Configuration Space Enable) с адресом 0CF8h биты 7:4 являются ключом разрешения отображения, а биты [3:1] несут номер функции, к пространству которой адресуются обращения. Бит 0 (SCE — Special Cycle Enable) при единичном значении вместо конфигурационных циклов вызывает формирование специального цикла. При нулевом ключе область портов C000–CFFFh остается нормальной частью пространства вводавывода, а при ненулевом на нее отображаются конфигурационные пространства указанных функций 16 возможных устройств2. При обращении к конфигурационному пространству устройств нулевой шины чтение или запись двойного слова в порт по адресу C000–CFFCh генерирует конфигурационный цикл, в котором из адреса порта биты [2:7] поступают на шину AD[2:7] как индекс регистра конфигурационного пространства, а биты [11:8] декодируются в позиционный код выбора устройства (линии IDSEL) на линиях AD[31:16]. Номер функции на линии AD[10:8] поступает из регистра CSE, линии AD[1:0] нулевые. Для обращения к устройствам ненулевой шины служит регистр перенаправления (Forward Register) с адресом 0CFAh, в который помещают номер шины (по сбросу этот регистр обнуляется). Если номер шины ненулевой, то генерируется цикл типа 1, в котором номер функции поступает из регистра CSE, младшие 4 бита номера устройства поступают с битов адреса (AD15 = 0), а номер шины — из регистра перенаправления (биты AD[1:0] = 01 и AD[31:24] = 0 формируются аппаратно).

Для генерации специального цикла по этому механизму в регистре CSE устанавливается ненулевой ключ, номер функции 111 и SCE = 1, после чего выполняется запись по адресу порта CF00h. В зависимости от содержимого регистра перенаправления будет сгенерирован специальный цикл или конфигурационный цикл типа 1, который на целевой шине будет преобразован в специальный.



Классификация устройств PCI

Важной частью спецификации PCI является классификация устройств и указание кода класса в его конфигурационном пространстве (3 байта Class Code). Старший байт определяет базовый класс, средний — подкласс, младший — программный интерфейс (если он стандартизован). Код класса позволяет идентифицировать наличие определенных устройств в системе, это может быть сделано с помощью PCI BIOS. Для стандартизованных классов и интерфейсов (например, 01:01:80 — контроллер IDE или 07:00:01 — последовательный порт 16450) «заинтересованная» программа может найти требуемое устройство и выбрать подходящий вариант драйвера. Классификатор определяет организация PCI SIG, он регулярно обновляется на сайте http://www.pcisig.com. Нулевые значения полей, как правило, дают самые неопределенные описания. Значение подкласса 80h относится к «иным устройствам». Некоторые классы устройств приведены в таблице. 

Подкласс Интерфейс Назначение
Базовый класс 00 Устройства, разработанные до принятия классификации
00 00 Все, кроме VGA-совместимых
01 00 VGA-совместимый графический адаптер
Базовый класс  01 Контроллеры устройств хранения 
00 00 Контроллер шины SCSI
01 xx Контроллер IDE
02 00 Контроллер НГМД
03 00 Контроллер шины IPI
04 00 Контроллер RAID
Базовый класс 02  Сетевые контроллеры
00 00 Ethernet
01 00 Token Ring
02 00 FDDI
03 00 ATM
04 00 ISDN
Базовый класс  03  Дисплейные контроллеры 
00 00  Совместимый с VGA (память 0A0000–0BFFFFh, порты 3B0–3BBh и 3C0–3DFh)
00 01 Совместимый с IBM-8514 (порты 2E8h, 2EAh-2EFh)
01 00 Контроллер XGA
02 00  Контроллер 3D
Базовый класс 04 Мультимедийные устройства 
00 00 Видео 
01 00 Аудио
02 00  Компьютерная телефония
Базовый класс  05 Контроллеры памяти 
00 00 Контроллер памяти с произвольным доступом (RAM)
01 00  Контроллер флэш-памяти
Базовый класс  06 Мосты 
00 00 Главный мост (Host bridge)
01 00 Мост PCI-ISA
02 00 Мост PCI-EIISA
03 00 Мост PCI-MCA
04 00 Мост PCI-PCI
04 01 Мост PCI-PCI с субтрактивным декодированием
05 00 Мост PCI-PCMCIA
06 00 Мост PCI-NuBus
07 00 Мост PCI-CardBus
08 xx Мост PCI-RACEway
Базовый класс 07  Коммуникационные контроллеры 
00  00  UART, совместимый с 8250
  01 UART, совместимый с 16450
  02 UART, совместимый с 16550
  .....  .....
  06 UART, совместимый с 16950
01 00 Стандартный LPT-порт
  01 Двунаправленный LPT-порт
  02 Параллельный порт ECP 1.X
  03 Контроллер IEEE 1284
  FEh Целевое устройство IEEE 1284
02 00 Мультипортовый последовательный контроллер
03 00  Модем
  01 Hayes-модем с интерфейсом 16450
  02 Hayes-модем с интерфейсом 16550
  03 Hayes-модем с интерфейсом 16650
  04 Hayes-модем с интерфейсом 16750
Базовый класс 08 Системная периферия
00 00  Контроллер прерываний 8259 (PIC)
  01 Контроллер прерываний ISA
  02 Контроллер прерываний EISA
  10 Контроллер прерываний I/O APIC
  20 Контроллер прерываний I/O(x) APIC
01 00 Контроллер DMA 8237
  01 Контроллер DMA ISA
  02 Контроллер DMA EISA
02 00 Системный таймер 8254
  01 Системный таймер ISA
  02 Системный таймер EISA
03 00 Часы (RTC)
  01 Часы (RTC) ISA
04 00 Контроллер горячего подключения PCI
Базовый класс 09 Контроллеры устройств ввода
00 00 Контроллер клавиатуры
01 00 Дигитайзер (перо)
02 00 Контроллер мыши
03 00 Контроллер сканера
04 00 Игровой порт с фиксированным адресом
  01 Игровой порт с перемещаемым адресом
Базовый класс   Док-станции
Базовый класс   Процессоры
Базовый класс   Контроллеры последовательных шин
00 00  Контроллер IEEE 1394 (FireWire)
  10 Контроллер IEEE 1394 по спецификации OpenHCI
01 00 Контроллер ACCESS.bus
02 00 Контроллер SSA
03 00 Контроллер USB по UHCI

 
10h Контроллер USB по OHCI
  20h Контроллер USB по EHCI
  FEh Устройство USB
Базовый класс  0Dh Контроллеры беспроводных интерфейсов
Базовый класс 0Eh Контроллеры интеллигентного ввода-вывода (I2O)
Базовый класс 0Fh Контроллеры спутниковых коммуникаций
Базовый класс 10h Контроллеры шифрования

 



PCI BIOS

Для облегчения взаимодействия с устройствами PCI имеются дополнительные функции BIOS, доступные как из реального, так и защищенного режима работы процессора. Функции PCI BIOS используются только для поиска и конфигурирования устройств PCI — процедур, требующих доступа к их конфигурационному пространству. Функции приходится поддерживать и использовать потому, что циклы конфигурационных обращений, как и специальный цикл, выполняются специфическим образом. Кроме того, PCI BIOS позволяет управлять коммутатором запроса прерываний (PCI Interrupt Steering), скрывая специфический программный интерфейс чипсета системной платы. Остальное взаимодействие с устройствами через их пространства памяти и ввода$вывода, а также обработка прерываний в поддержке со стороны BIOS не нуждаются, поскольку выполняются непосредственно командами процессора и не зависят от платформы (чипсета системной платы). Регулярная работа с этими устройствами выполняется через обращения к регистрам устройств по адресам, полученным при конфигурировании, и обработку известных номеров прерываний от этих устройств. Функция проверки наличия PCI BIOS позволяет определить доступные конфигурационные механизмы, и, зная их работу, программа в дальнейшем может обходиться и без вызовов PCI BIOS.

Программы с помощью функций PCI BIOS могут искать интересующие их устройства по идентификаторам или кодам класса. Если стоит задача полного «переучета» установленных устройств, то она решается чтением конфигурационной информации по всем функциям всех устройств всех шин — это быстрее, чем перебирать все возможные сочетания идентификаторов или классов кодов. Для найденных устройств программы должны определять реальные настройки чтением регистров конфигурационного пространства, учитывая возможность перемещения ресурсов по всему пространству и даже между пространствами памяти и вводавывода.

Для 16-битного интерфейса реального режима, V86 и 16$битного защищенного режима, функции PCI BIOS вызываются через прерывание Int 1Ah; номер функции задается при вызове в регистре AX. Возможна и программная имитация прерывания дальним вызовом по физическому адресу 000FFE6Eh (стандартная точка входа в обработчик Int 1Ah) с предварительным занесением в стек регистра флагов. 

Для 32$разрядных вызовов защищенного режима все эти же функции вызываются через точку входа, найденную через каталог 32$разрядных сервисов (см. ниже), при этом назначение входных и выходных регистров и флага CF сохраняется. До использования 32$разрядного интерфейса следует сначала найти его каталог и убедиться в наличии сервисов PCI BIOS по идентификатору «$PCI» (049435024h).

Вызовы требуют глубокого стека (до 1024 байт). Признаком нормального выполнения является CF = 0 и AH = 0; при CF = 1 AH содержит код ошибки:

  • 81h — неподдерживаемая функция;
  • 83h — неправильный идентификатор производителя;
  • 86h — устройство не найдено;
  • 87h — неправильный номер регистра PCI;
  • 88h — установка не удалась;
  • 89h — слишком маленький буфер для данных.

Функции PCI BIOS перечислены ниже:

AX = B101h — проверка присутствия PCI BIOS. При наличии PCI BIOS возвращает CF = 0, AH = 0 и EDX = 20494350h (строка символов «PCI»); проверяться должны все три признака. При этом в AL находится описатель аппаратного механизма доступа к конфигурационному пространству и генерации специальных циклов PCI:

  • бит 0 — поддержка механизма № 1 для доступа к конфигурационному пространству;
  • бит 1 — поддержка механизма № 2 для доступа к конфигурационному пространству;
  • биты [2:3] = 00 (резерв);
  • бит 4 — поддержка генерации специального цикла с использованием механизма № 1;
  • бит 5 — поддержка генерации специального цикла с использованием механизма № 2;
  • биты [6:7] = 00 (резерв).

В регистрах BH и BL возвращается старший и младший номер версии (BCD-цифры), в CL — максимальный номер шины PCI, присутствующий в системе (число шин — 1, поскольку они нумеруются с нуля последовательно). В регистре EDI может возвращаться линейный адрес точки входа 32$разрядных сервисов BIOS. Этот адрес возвращается не всеми версиями BIOS (некоторые не изменяют EDI); для проверки можно при вызове обнулять EDI и проверять на нуль возвращенное значение.

  • AX = B102h — поиск устройства по идентификатору. При вызове в CX указывается идентификатор устройства, в DX — идентификатор производителя, в SI — индекс (порядковый номер) устройства. При успешном возврате в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции. Для нахождения всех устройств с указанными идентификаторами вызовы выполняют, последовательно инкрементируя SI от 0 до получения кода возврата 86h.
  • AX = B103h — поиск устройства по коду класса. При вызове в ECX[23:16] указывается код класса, в ECX[15:8] — подкласса, в ECX[7:0] — интерфейс, в SI — индекс устройства (аналогично предыдущему). При успешном возврате в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции.
  • AX = B106h — генерация специального цикла PCI. При вызове в BL указывается номер шины, в EDX — данные специального цикла.
  • AX = B108h — чтение байта из конфигурационного пространства устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции, в DI — номер регистра (0–FFh). При успешном возврате в CL — считанный байт.
  • AX = B109h — чтение слова из конфигурационного пространства устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции, в DI — номер регистра (0–FFh, четный). При успешном возврате в CX — считанное слово.
  • AX = B10Ah — чтение двойного слова из конфигурационного пространства устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции, в DI — номер регистра (0–FFh, кратный 4). При успешном возврате в ECX — считанное двойное слово.
  • AX = B10Bh — запись байта в конфигурационное пространство устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции, в DI — номер регистра (0–FFh), в CL — записываемый байт.
  • AX = B10Ch — запись слова в конфигурационное пространство устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0] — номер функции, в DI — номер регистра (0–FFh, четный), в CX — записываемое слово.  AX = B10Dh — запись двойного слова в конфигурационное пространство устройства PCI. При вызове в BH — номер шины, в BL[7:3] — номер устройства, BL[2:0]— номер функции, в DI — номер регистра (0–FFh, кратный 4), в ECX — записываемое двойное слово.
  • AX = B10Eh — определение возможностей назначения прерываний (GET_IRQ_ROUTING_OPTIONS). При вызове BX=0, ES:EDI указывает на структуру параметров буфера для результата, состоящую из слова с длиной буфера, за которым располагается дальний указатель на его начало. DS в 16$разрядом режиме указывает на сегмент с физическим адресом F0000, в 32$разрядном определяется правилами из следующего раздела. При успешном возврате в BX находится битовая карта запросов IRQx, в которой единичное значение бита означает, что данный вход контроллера прерываний используется исключительно шиной PCI. В буфер помещается последовательный набор структур, описывающих возможности и назначение прерываний для каждого устройства PCI (см. таблицу ниже). При возврате в структуре параметров буфера возвращается его реальная длина; если при вызове указан буфер, не вмещающий весь результат, устанавливается код ошибки 89h.
  • AX = B10Fh — назначение линий запроса прерываний (SET_PCI_IRQ). При вызове в BH задается номер шины, в BL — номер устройства (биты [7:3]) и функции (биты [2:0]), для которой назначается запрос; в CL указывается вывод (0Ah — INTA#,… 0Dh — INTD#), в CH — желаемый номер IRQx (0…0Fh, причем 0 соответствует отключению INTx# от входов контроллера). Значение DS аналогично предыдущей функции. Если заказанное назначение невозможно, при возврате устанавливается код ошибки 88h. При использовании данной функции следует выполнять и сопутствующие изменения в конфигурационных регистров всех затрагиваемых устройств и их функций.
Смещение Размер Назначение
0 byte PCI Bus number — номер шины PCI
1 byte PCI Device number — номер устройства PCI
2 byte Назначенная связь для линии INTA# (0 — нет, 1 — IRQ1, …0Fh — IRQ15)
3 word Битовая карта возможных назначений для INTA# (бит 0 — IRQ0, … бит 15 — IRQ15)
5 byte Назначенная связь для линии INTB# (аналогично)
6 word Битовая карта возможных назначений для INTB# (аналогично)
8 byte Назначенная связь для линии INTC# (аналогично)
9 word Битовая карта возможных назначений для INTC# (аналогично)
11 byte Назначенная связь для линии INTD# (аналогично)
12 word Битовая карта возможных назначений для INTD# (аналогично)
14 byte Номер слота (для физической идентификации карты)
15 byte Резерв

 

 

 



Поиск 32-разрядных сервисов BIOS

32-разрядные сервисы BIOS32 ищутся через каталог 32разрядных сервисов. Адрес точки входа в каталог сервисов заранее не известен, но известен способ его нахождения: в диапазоне адресов памяти 0E0000–0FFFFFh в началах параграфов (младшие 4 бита адреса нулевые) ищется строка-сигнатура "_32_" заголовка (число 325F5F33h), за которой следует 32-разрядный физический адрес точки входа в каталог. Точки входа в сами сервисы ищутся через каталог сервисов. Номер, параметры вызываемых функций и результаты передаются на регистрах процессора. Для поиска сервиса в каталоге 4-байтная строка-идентификатор сервиса заносятся в регистр EAX, в EBX заносится 0 (код функции поиска в каталоге) и выполняется дальний вызов (CALL FAR) по адресу точки входа в каталог. Результат поиска передается на регистрах: AL = 00h — сервис найден, при этом в EBX — базовый адрес сервиса, в ECX — его длина (определяет длину сегмента), EDX — смещение точки входа от начала сервиса (от EBX). Если AL = 81h — сервис не найден. До попытки использования каталога сервисов следует убедиться в корректности заголовка, проверив его контрольную сумму: накопленная сумма всех байтов заголовка должна быть нулевой. Длина заголовка (в параграфах) указана в байте со смещением 9, в байте 8 — номер ревизии заголовка. Проверка контрольной суммы обязательна, поскольку 4-байтная сигнатура может совпасть с фрагментом программного кода BIOS (строка _32_ дизассемблируется как POP DI; XOR SI,[BP + SI]). 32-разрядные сервисы вызываются дальними вызовами (CALL FAR), при этом сегмент кода CS должен иметь базу в начале 4-килобайтной страницы, в которую попадает точка входа, а лимит должен позволять охватывать эту и следующую страницу1. Сегмент DS должен иметь такую же базу и не меньший лимит. Напомним, что здесь идет речь о физических адресах (после страничного преобразования линейных).



Expansion ROM карт PCI

В микросхеме ROM BIOS, установленной на системной плате, поддерживаются только стандартные (по назначению и реализации) устройства. При необходимости дополнительные устройства, устанавливаемые в слоты шин расширения (ISA, PCI, PCMCIA), могут иметь микросхемы ПЗУ своей программной поддержки — Additional ROM BIOS (дополнительные модули ROM BIOS), они же Expansion ROM. Эта необходимость возникает, когда программная поддержка устройств требуется до загрузки ОС и прикладного ПО. Роль Expansion ROM может и не ограничиваться поддержкой данного устройства — в таком модуле может содержаться и вся программа функционирования специализированного бездискового контроллера на базе PC. Расширения ROM BIOS используют графические адаптеры EGA/VGA/SVGA, некоторые контроллеры жестких дисков, контроллеры SCSI, сетевые адаптеры с удаленной загрузкой и другие периферийные устройства. Для модулей расширения устройств с шиной ISA в пространстве памяти зарезервирована область C8000h–F4000h. POST сканирует эту область с шагом 2 Kбайт в поисках дополнительных модулей BIOS на завершающем этапе выполнения (после загрузки векторов прерываний указателями на собственные обработчики). Дополнительный модуль BIOS графического адаптера (EGA, VGA, SVGA) имеет фиксированный адрес C0000 и инициализируется раньше (на шаге инициализации видеоадаптера). Устройства с шиной PCI в своем конфигурационном пространстве содержат лишь признак использования модуля расширения, а его приписку к адресам памяти назначает POST.

Дополнительный модуль ROM BIOS должен иметь заголовок, выровненный по границе 2-килобайтной страницы памяти, формат заголовка ПЗУ иллюстрирует таблица.

Смещение Длина Назначение
0 2 Сигнатура (признак начала модуля): байт 0=55h, байт 1=AAh
2 1 Длина, указанная в блоках по 512 байт
3 3 Точка входа процедуры инициализации, заканчивающейся дальним возвратом Ret Far (вызывается инструкцией Far Call во время POST). Обычно здесь располагается 3-байтная инструкция JMP, указывающая на начало процедуры
6-17h
 
Резерв
18h 2 Указатель на структуру данных PCI (только для карт PCI)
1Ah 2 Указатель на структуру расширенного заголовка карт ISA PnP

Таблица. Заголовок модуля дополнительного ПЗУ

В традиционном заголовке присутствовали только первые три поля, указатели на структуры PCI и ISA PnP ввели позже. Корректным считается модуль, начинающийся с признака AA55h (значения 16-битного слова с учетом порядка байтов) и нулевой суммой (по модулю 256) всех байтов в объявленной области (реальная длина модуля может превышать объявленную, но байт контрольной суммы, естественно, должен входить в объявленную область).

В случае обнаружения корректного модуля POST дальним вызовом (Call Far) вызывает процедуру инициализации модуля, начинающуюся с 3-го адреса заголовка модуля. Ответственность за ее корректность полностью ложится на разработчика. Процедура может переопределять векторы прерываний, обслуживаемых BIOS. Переопределив на себя вектор Bootstrap (Int 19h), можно получить управление при загрузке, что и используется, например, для удаленной загрузки компьютеров через локальную сеть (Remote Boot Reset). Если стандартное продолжение процедуры загрузки не требуется, а дополнительный модуль представляет собой, например, управляющую программу для какого-либо оборудования, вместо процедуры инициализации в ПЗУ может находиться и основная программа, не возвращающая управление системной последовательности POST, которая бы выполнила обычную загрузку.

Процедура инициализации и программная поддержка устройства в ПЗУ должны быть написаны таким образом, чтобы им были безразличны абсолютные адреса, по которым они размещаются в пространстве памяти. На картах расширения, как правило, имеются средства изменения базового адреса, а иногда и размера ПЗУ (джамперы или программно-управляемые переключатели). Это позволяет бесконфликтно разместить модули ПЗУ нескольких установленных карт.

Для содержимого ПЗУ расширения BIOS, установленных на картах PCI, принят стандарт, несколько отличающийся от традиционных дополнительных модулей ROM BIOS. Заголовок ПЗУ соответствует традиционному, но дополнительно имеет указатель на структуру данных PCI (см. следующую таблицу). Идентификаторы производителя и устройства, а также код класса совпадают с описанными в конфигурационном пространстве устройства PCI. Поскольку шина PCI используется не только в PC, в ПЗУ карты может храниться несколько программных модулей. Каждый модуль начинается со структуры данных, сам модуль следует сразу за структурой. За ним начинается структура для следующего модуля (если у предыдущего не установлен признак последнего модуля) и т. д. Тип платформы (процессора) указывается в заголовке модуля и при инициализации BIOS активизируется только нужный. Такой механизм позволяет, например, один и тот же графический адаптер устанавливать и в IBM PC, и в Power PC.

 

Смещение Длина, байт Назначение
0 4 Сигнатура, строка символов «PCIR»
4 2 Идентификатор производителя
6 2 Идентификатор устройства
8 2 Резерв
Ah 2 Длина структуры (в байтах), начиная с сигнатуры
Ch 1 Версия структуры (0 для данной версии)
Dh 3 Код класса
10h 2 Длина рабочего образа
12h 2 Версия кода/данных
14h 1 Тип кода: 0 — x86 для PC-AT, 2 — HP PA-RISC
15h 1 Индикатор: 1 — последний образ, 0 — не последний
16h 2 Резерв

Таблица. Структура данных PCI

Применительно к дополнительному ПЗУ карты PCI имеется три параметра, относящихся к размерам областей памяти. Размер ПЗУ определяется чтением конфигурационного пространства. Размер, указанный в байте 2 заголовка, указывает на длину модуля на этапе инициализации. Этот модуль POST загружает в ОЗУ перед тем, как вызвать процедуру инициализации (точка входа со смещением 3). Контрольная сумма, расположенная обычно в конце модуля, обеспечивает нулевую сумму всех байтов. Длина рабочего образа, указанная в структуре данных PCI (слово со смещением 10h), описывает размер области, которая должна постоянно оставаться в памяти в режиме нормального функционирования (она может быть меньше указанной в байте 2 заголовка, поскольку код процедуры инициализации уже не требуется). Эта область также защищается контрольной суммой.

Работа с модулями ПЗУ для карт PCI выполняется в соответствии с моделью DDIM (Device Driver Initialization Model — модель инициализации драйвера устройств). POST определяет наличие ПЗУ по полю Expansion ROM Base Address в конфигурационном пространстве устройства, обнаруженного на карте, и назначает ему адрес в свободном пространстве памяти. После этого программированием регистра команд данного устройства разрешается считывание ПЗУ и в нем ищется сигнатура заголовка AA55h. Когда сигнатура найдена, POST ищет подходящий образ (по типу программного кода и совпадающий по идентификаторам с обнаруженными устройствами PCI) и копирует его в ОЗУ (в область C0000–DFFFFh), оставляя разрешенной запись в эту область. Далее чтение ПЗУ запрещается (записью в поле Expansion ROM Base Address) и вызывается процедура инициализации модуля (по адресу 3). При вызове процедуры POST сообщает номер шины (в регистре AH), номер устройства (AL[7:3]) и номер функции (AL[2:0]), благодаря чему процедура узнает точные координаты (идентификатор на шине PCI) аппаратных средств, которые ей предстоит инициализировать. После отработки инициализации определяется размер области, которую следует оставить в памяти (по байту 2, который может быть модифицирован процедурой инициализации), и для этой области запрещается запись. Если процедура инициализации «урезает» занимаемую память, она должна позаботиться о достоверности контрольной суммы области, описанной байтом 2. Если память освобождается полностью (процедура обнуляет байт 2), то контрольная сумма, естественно, не нужна. Расширение для VGA (определяется по коду класса) обрабатывается особым образом — загружается по адресу C0000h. Процедура инициализации может определить наличие PnP BIOS в системе, проверив значение контрольной структуры PnP по адресу, указанному ей программой POST в регистрах ES:DI, и исполняться в зависимости от обнаруженного системного окружения.

Для более эффективной работы во время инициализации драйвера устройства желательно использовать не только стандартную, но и расширенную память (за пределами первого мегабайта), в то время как POST работает в реальном режиме процессора. Решить эту проблему помогает режим «Big Real Mode», который поддерживают все 32-разрядные процессоры. Специально для предоставления доступа ко всей памяти процедурами инициализации фирмы Phoenics и Intel разработали спецификацию PMM (POST Memory Manager Specification), версия 1.01 была опубликована в конце 1997 года. Эта спецификация определяет несколько дополнительных сервисов BIOS, позволяющих выделять, находить и освобождать блоки в любой, в том числе и расширенной, памяти. Клиенты этого сервиса запрашивают блок памяти требуемого размера, а BIOS возвращает физический 32-разрядный адрес начала выделяемого блока (если она способна его выделить). Клиент помечает свой блок 32-битным индексом (handle), по которому его в дальнейшем можно найти функцией поиска. Анонимный блок (индекс FFFFFFFFh) поиску не поддается. Этими сервисами можно пользоваться только до начала процедуры начальной загрузки (Int 19h), работу с вентилем Gate A20 они берут на себя. Перед начальной загрузкой BIOS освобождает и обнуляет все блоки расширенной памяти, занятые с помощью этих сервисов. Наличие сервисов PMM определяется по контрольной структуре, начинающейся со строки-сигнатуры -PMM и расположенной на границе раздела в области E0000–FFFF0h.